Intel on Thursday announced that it would delay mass production of its 10 nm processors from 2018 to 2019 due to yield issues. The company has claimed to be shipping some of its 10 nm chips in small volumes right now, but due to cost reasons the firm does not intend to initiate their high-volume manufacturing (HVM) at this time. Intel executives also stated that they are confident of their product roadmap and intend to launch Whiskey Lake and Cascade Lake products later this year.

Multipatterning Issues

Brian Krzanich, CEO of Intel, stated during a conference call with financial analysts:

“We are shipping [10-nm chips] in low volume and yields are improving, but the rate of improvement is slower than we anticipated. As a result, volume production is moving from the second half of 2018 into 2019. We understand the yield issues and have defined improvements for them, but they will take time to implement and qualify.”

Intel blames a very high transistor density and consequent heavy use of multipatterning for low yields. Brian Krzanich has said that in certain cases the company needs to use quad (4x), penta (5x), or hexa (6x) patterning for select features as they need to expose the wafer up to six times to “draw” one feature. This not only lengthens Intel’s manufacturing cycle (which by definition rises costs) and the number of masks it uses, but also has an effect on yields.

Intel’s 10 nm fabrication technology relies solely on deep ultraviolet (DUV) lithography with lasers operating on a 193 nm wavelength at this time. The company’s 7 nm manufacturing process will use extreme ultraviolet (EUV) lithography with laser wavelength of 13.5 nm for select layers, eliminating use of extreme multipatterning for certain metal layers. As it appears, right now Intel executives do not consider EUV technology ready for prime time in 2019, so the company’s engineers have to polish off the last DUV-only process (again) rather than jump straight to 7 nm.

10 nm Ramp in 2019

Intel does not elaborate whether it intends to ship (in volume) its 10 nm CPUs in the first half or the second half of 2019, but only says that the company’s engineers know the source of the yield problems and are working hard to fix them. As a result, it is pretty safe to assume that the actual ramp of Intel’s 10 nm production will begin towards the second half of next year.

“We are going to start that ramp as soon as we think the yields are in line, so I said 2019,” Mr. Krzanich noted. “We did not say first or second half, but we will do it as quickly as we can, based on the yield.”

In a bid to stay competitive before its 10-nm CPUs ship in the H2 2019 – H1 2020 (production ramp takes time, bigger processors will launch later than smaller parts), Intel plans to release another generation of products made using its 14 nm process tech. This generation of chips includes Whiskey Lake products for client PCs and Cascade Lake for the datacenter, and both are scheduled for release later this year.

Questions Remain: 10 nm Slip from 2016

Intel’s 10 nm manufacturing process has a long history of delays and without any doubts this transition has been the hardest in the company’s history. Let's do a quick recap.

  Intel
First Production
1999 180 nm
2001 130 nm
2003 90 nm
2005 65 nm
2007 45 nm
2009 32 nm
2011 22 nm
2014 14 nm
2016 10 nm
2017 10 nm
2018 10 nm?
2019 10 nm!

Intel originally planned to commence shipments of its first processors made using their 10 nm fabrication technology in the second half of 2016. The first rumors about Intel’s problems with the tech started to spread in early 2015 as the company delayed the installation of equipment needed for its 10 nm manufacturing process. Then, in July 2015, the chip giant confirmed intentions to postpone 10 nm HVM from H2 2016 to H2 2017 due to difficulties incurred by multipatterning. Instead, the company promised to release its Kaby Lake products with enhancements and made using a refined 14 nm process (known as 14+ later).

Over the course of 2016 and 2017 we learned that Intel was prepping Cannon Lake (mobile, entry-level desktops), Ice Lake (higher-end client PCs, servers), and Tiger Lake chips on their 10 nm node. We also heard about various problems that Intel faced with its 10 nm technology, but the company refuses to comment on them. The chipmaker did demonstrate a system running a mobile Cannon Lake SoC at CES 2017, with a promise to release this processors late that year. Somewhere along the line, both Ice Lake and Tiger Lake slipped to 2018, which was partly confirmed by the launch of the Coffee Lake CPU made using a revamped 14 nm (14++) in late 2017.

Intel officially introduced the 10 nm fabrication process at IEDM 2017 and said it was on track to start shipments of CNL CPUs in early 2018. In January this year Intel confirmed that they had started to ship Cannon Lake processors in small volumes, but never elaborated. We have since learned that these were CNL-U parts in uninspiring 2+2 and CNL 2+0 configurations, however so far Intel has refused to state who the customer is or where anyone can buy them, despite repeated requests for this information.

Being a very large company, Intel has a multifaceted strategy that spans across product lines and generations. Right now, Intel is battling with yield issues that plague its Cannon Lake product family and the first-gen 10 nm manufacturing process. There are other 10 nm products in the pipeline that are to be made using a refined fabrication technology (such as 10+, 10++). It is pretty obvious that Intel will learn how to improve its 10 nm yields with the CNL lineup, but what remains to be seen is how significantly the delays of this product family affect launch schedules of its successors. Despite Intel's statements, there is outside discussion that Intel could decide to switch right to 7 nm, bypassing 10 nm altogether.

Related Reading

Sources: Intel, SeekingAlpha

Comments Locked

155 Comments

View All Comments

  • HStewart - Friday, April 27, 2018 - link

    One extremely important thing that most people don't see - is that GF's 7nm does not mean it is better than Intel 10nm - just because the number. There is a lot more to process than just the number. Maybe the reason they are having lower yields is because the process is significantly more dense than any current or future process from other companies.

    It also possible that the recent threats of AMD with Ryzen and Windows for ARM ( more significant threat to Intel ) are not as big as some one think.
  • Maxiking - Friday, April 27, 2018 - link

    It is not about density in general, when Intel releases another process generation, it means they follow the Moore's law. Unlike GLO, TSMC, Samsung and their "nm" spamming.. for example Zen+, it was supposed to be on 14nm+ but they did a marketing stunt a few months ago and decided it to call it 12nm instead while using the same density as on 14nm.

    Then to hide it somehow, they compare it to 16nm Finfet and say they managed 15% density improvement and 10% performance gain. And everybody quoted them and was comparing it to the old 14nm. Even here.

    https://i.imgur.com/cQJnTPS.png

    Now this marketing works again, they say 7nm why it is highly questionable but as you can see, people buy it, they see 7, 7 is lower than 10 and it works. Then let's look at Intel's 14nm and the 14nm AMD use with Zen+. Intel's one is more dense, can clock up 5.2ghz. AMD ones? Less dense, can barely reach 4.2 on all cores then it melts..

    So yeah, hurray, 7nm.
  • Wilco1 - Friday, April 27, 2018 - link

    There is absolutely no doubt that 12nm is significantly denser than 14nm - did you see the Ryzen 2 die photo? That's a clear density gain unlike Intel 14nm+/++ which are lower density.
  • Maxiking - Saturday, April 28, 2018 - link

    https://www.semiwiki.com/forum/content/6713-14nm-1...

    "12nm" offers up to 15% density over 16nm Finfet. Do the math.
  • Wilco1 - Saturday, April 28, 2018 - link

    There is no mention of 12nm in that article. 12LP was announced by GF as a "15% density improvement over competing 16/14nm processes". That means 16nm TSMC, 14nm GF/Samsung, so that obviously implies 15% over 14nm GF too since GF and Samsung use the same process. How it's done hasn't been detailed, but it may be a combination of BOL scaling and reduced track libraries.

    Zen+ however didn't take advantage of that 15% density - both Zen and Zen+ use the same 9 track libraries as explained here:

    https://www.anandtech.com/show/12625/amd-second-ge...
  • Maxiking - Saturday, April 28, 2018 - link

    No, it doesn't, because 14nm GLO and 16nm TSMC do not use same density, so that's why they used up to.

    That 15% is fabricated number to make things look better. 16nm density is 28.2, 14nm is 32.5, do you see that big difference and how much you increase the number when you compare it to 16nm and you up to? Comparing it to 14nm, the improvement number would be way, way, way lower.

    Intel's 14nm is up to 1.5 denser than the competition of GLOFO so even with those up to 15%, 12nm doesn't stand a chance.
  • Wilco1 - Saturday, April 28, 2018 - link

    The fact is that 12nm gives a significant density gain over GF 14nm, there is no way you can claim it is zero.

    Intel's original 14nm transistor density is 37.5 mt/mm^2 vs GF 14nm's 32.5, which is... about 15%. Note Intel's 14+/++ are at least 10% less dense, so it's pretty obvious GF 12nm will be denser.
  • ilt24 - Monday, April 30, 2018 - link

    Who's 14nm are you talking about? During the Q1 earnings conference call someone asked if the GF 12nm process was a die shrink or just performance improvements, Lisa said "It is not a die shrink."
  • HStewart - Saturday, April 28, 2018 - link

    Yes but some people are naïve and just believe that 7nm from one manufacture is more dense than 14nm from another. Especially understand that there is more to the process than number - but marketing wants customers to be believes it just about the number. No wonder AMD head marketing left the company - some time reality hurts.

    Also there is big difference in manufacturing technology - density is not always flat - it can be 3D.

    I believe Intel has something super impressive with their 10nm and things like that take time. They are higher high up to help re-inforce this not replace it technology.

    Of course like everything else on the internet, this is just my opinion.
  • Wilco1 - Saturday, April 28, 2018 - link

    You've got to be beyond naïve to believe that Intel 14nm is somehow better than 7nm from the foundries. Do you seriously believe that?

Log in

Don't have an account? Sign up now